subject
Engineering, 25.02.2020 19:49 yddlex

You are asked to develop controller logic for a food vending machine that is to be deployed in Electrical Engineering department. The vending machine sells food for $0.10 to $0.99. One of your colleagues has already designed a module that provides an output "money [6:0]" that tells you how much money (max $1) client has deposited and "price[6:0]" corresponding to the item purchased. Another colleague designed a module, which provides active HIGH single-bit outputs "avail_Q", "avail_D", "avail_N", "avail_P" indicating whether the vending machine has quarters (Q). dime (D), nickels (N). and pennies (P) available to be dispensed. You are required to implement a Finite State Machine that returns coins from the coin dispenser stack of quarters (Q). dimes (D). nickels (N). and pennies (P). Your state machine should produce disp_Q, disp_D, disp_N disp_P that would drive the stack dispenser input enable. (disp_Q, disp_D, disp_N, disp_P are all 1-bit.)
module coin_return (disp_Q, disp_D, disp_N, disp_P, done, money, price, start, elk, reset, avail_Q, avail_D, avail_N, avail_P);
When "start" (synchronous active HIGH) is present (active only for 1-clock cycle), your state machine should consider all input values to be valid and stable and begin coin dispensing. Your machine should check if a coin-available signal (avail_Q, avail_D, avail_N, avail_P) is asserted during this initial cycle before enabling any corresponding dispense-enable signal during the transaction. The machine dispenses one coin at a time. Each stack drops a coin if its dispense enable input is HIGH at the POSITIVE edge of the clock only if there are coins available in the stack to be dropped. If the coin-available signal was not asserted for a particular coin at the beginning of the transaction, your machine should not dispense that particular coin and use smaller denomination coins for returning the change. When the transaction is complete, your state machine should pull up its "done" output. The machine uses synchronous "reset" and in idle-state, the "done" output should remain HIGH. Your design should dispense coins as fast as possible. In order to save the time of dispensing coins, the machine should first dispense as many as possible quarters, followed by dimes, nickels and pennies respectively. In addition, if none of the coin-available signals are valid, then the machine should simply go into a state where the "done" is asserted without dispensing any coins. You may assume that when start is asserted, the value of money will always be greater than or equal to the value of price.
Question: :
a. Draw a state machine to illustrate your implementation in pdf format (state_vm. pdf). Test your design for various combinations of the inputs.

ansver
Answers: 3

Other questions on the subject: Engineering

image
Engineering, 04.07.2019 18:10, keigleyhannah30
Aplate clutch has a single pair of mating friction surfaces 250-mm od by 175-mm id. the mean value of the coefficient of friction is 0.30, and the actuating force is 4 kn. a) find the maximum pressure and the torque capacity using the uniform-wear model. b) find the maximum pressure and the torque capacity using the uniform-pressure model.
Answers: 3
image
Engineering, 04.07.2019 18:10, johnthienann58
Thermal stresses are developed in a metal when its a) initial temperature is changed b) final temperature is changed c) density is changed d) thermal deformation is prevented e) expansion is prevented f) contraction is prevented
Answers: 2
image
Engineering, 04.07.2019 18:20, alexis9263
Have a greater impact on maintenance productivity than any other support group. (clo5) a)-the top management b)-inventory and purchasing c)-sub-contracting d)-cmms
Answers: 2
image
Engineering, 04.07.2019 19:20, zoebtharpe
Heat transfer by is the fastest mode of heat transfer that requires no intervening medium. a)-conduction b)-convection c)-radiation d)-conduction and convection
Answers: 1
You know the right answer?
You are asked to develop controller logic for a food vending machine that is to be deployed in Elect...

Questions in other subjects: